2011年10月17日 星期一

2011/10/17 硬體描述語言 Verilog的"印出"練習

第一版:

    module hello1;
    initial $display("Hello Verilog");
    endmodule

結果:

 
字串在紅線處印出,
display本身和C語言的printf是相同的功能。

Verilog本身和C語言類似之處相當多,包括程式分行上的判斷。

module hello1;
initial $display(
"Hello Verilog"
);
endmodule

就算這樣打也可以執行。
唯獨"Hello Verilog"不可以分行(和其他識別字或關鍵字不可有空格),
若分行,會造成無法編譯的問題。
問題:

 

顯示於程式視窗右下角。

display內也可以加入跳脫字元
程式碼:

    module hello1;
    initial $display("   *   \n  ***  \n ***** \n*******\n");
    endmodule

其結果為:
 

display可以做為辨識程式執行到哪裡,印出現在某個變數的數值,以便判斷執行是否正確。

沒有留言:

張貼留言